Overlay Mark Design Using Irregular Grating Patterns

Hyun-Chul Lee (1), Hyun-Jin Chang (2), Ho-Sung Woo (3)
(1) Auros technology, 5-23, Dongtansandan 6-gil Dongtan-myeon KR, Hwaseong-si, Gyeonggi-do, 18487, Republic of Korea
(2) Auros technology, 5-23, Dongtansandan 6-gil Dongtan-myeon KR, Hwaseong-si, Gyeonggi-do, 18487, Republic of Korea
(3) Department of EduTech, Graduate School, Korea National Open University, Seoul 03087, Republic of Korea
Fulltext View | Download
How to cite (IJASEIT) :
Lee , Hyun-Chul, et al. “Overlay Mark Design Using Irregular Grating Patterns”. International Journal on Advanced Science, Engineering and Information Technology, vol. 14, no. 2, Apr. 2024, pp. 548-54, doi:10.18517/ijaseit.14.2.19659.
Overlay refers to controlling the vertical alignment and misalignment between circuit patterns manufactured in the previous process and circuit patterns manufactured in the current process during the stacking process of circuit patterns in the semiconductor manufacturing process. A critical factor in ensuring precise overlay measurements is using an optimized overlay mark design. Many semiconductor manufacturers have put considerable effort into optimizing overlay measurement marks to reduce high costs. However, image-based overlay measurement encounters several challenges, including resolution degradation, image distortion, and noise introduction during digital data conversion. These issues can amplify the uncertainty of overlay measurements, impair optical resolution, and heighten the risk of mismeasurement. In this paper, we introduce irregular grating patterns designed to address the principal challenges faced by the commonly utilized Advanced Imaging Metrology (AIM) marks, namely large overlays and image distortion. Furthermore, we propose an overlay mark capable of precisely identifying overlays exceeding half a pitch and incorporating as much data as possible within the limits of optical resolution. This aims to improve overlay measurement performance. The experimental findings demonstrate that the proposed Pulsated Grating Target (PGT) mark enhances overall measurement uncertainty by approximately 17% compared to the AIM mark. The practical applicability of the PGT was validated through experiments, and we achieved enhanced precision and reliability in overlay measurements by resolving the issue of large overlays—the most significant challenge with grating patterns—and simultaneously enhancing mark performance.

H. Lee, H. Chang, H. Shin, and O. Choi, “Image-based overlay target design using a grating intersection,” Journal of Micro/Nanopatterning, Materials, and Metrology, vol. 21, no. 03, Sep. 2022, doi:10.1117/1.jmm.21.3.034801.

N. Wang, Y. Li, F. Sha, and Y. He, “Sub-nanometer misalignment sensing for lithography with structured illumination,” Optics Letters, vol. 47, no. 17, p. 4427, Aug. 2022, doi: 10.1364/ol.468177.

H. Lee et al., “Improved accuracy and robustness for advanced DRAM with tunable multi-wavelength imaging and scatterometry overlay metrology,” Metrology, Inspection, and Process Control for Microlithography XXXIII, Mar. 2019, doi: 10.1117/12.2515015.

S. Katz et al., “OPO residuals reduction with imaging metrology color per layer mode,” Metrology, Inspection, and Process Control for Microlithography XXXIV, Mar. 2020, doi: 10.1117/12.2541933.

S. Katz et al., “OPO robustness and measurability improvement via extended wavelengths,” Metrology, Inspection, and Process Control XXXVII, Apr. 2023, doi: 10.1117/12.2655161.

H. Jin and Y. Qi, “Review of overlay error and controlling methods in alignment system for advanced lithography,” Thirteenth International Conference on Information Optics and Photonics (CIOP 2022), Dec. 2022, doi: 10.1117/12.2654908.

H. Ina, T. Matsumoto, K. Sentoku, K. Matsuyama, and K. Katagiri, “New criterion about the topography of W-CMP wafer’s alignment mark,” Metrology, Inspection, and Process Control for Microlithography XVII, May 2003, doi: 10.1117/12.504579.

T. Nagayama, M. Yasuda, Y. Kanaya, T. Masada, and A. Sugaya, “Advanced alignment optical system for DUV scanner,” SPIE Proceedings, May 2004, doi: 10.1117/12.535083.

S. Keij, I. Setija, G. van der Zouw, and E. Ebert, “Advances in phase-grating-based wafer alignment systems,” Metrology, Inspection, and Process Control for Microlithography XIX, May 2005, doi:10.1117/12.599090.

B. Menchtchikov et al., “Computational scanner wafer mark alignment,” Optical Microlithography XXX, Mar. 2017, doi:10.1117/12.2259750.

C. Messinis et al., “Diffraction-based overlay metrology using angular-multiplexed acquisition of dark-field digital holograms,” Optics Express, vol. 28, no. 25, p. 37419, Nov. 2020, doi:10.1364/oe.413020.

H.-C. Hsieh, “Improving the cross-layer misalignment measurement accuracy by pattern-center shift induced error calibration,” Optics and Lasers in Engineering, vol. 155, p. 107051, Aug. 2022, doi:10.1016/j.optlaseng.2022.107051.

K. Koga et al., “Improvement of heterodyne alignment technique for x-ray steppers,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, vol. 11, no. 6, pp. 2179–2182, Nov. 1993, doi:10.1116/1.586452.

T. Shu et al., “Measurement algorithm for wafer alignment based on principal component analysis,” Applied Optics, vol. 60, no. 19, p. 5569, Jun. 2021, doi: 10.1364/ao.425767.

L. Zhang et al., “Overlay mark sub structure design to improve the contrast,” 2020 International Workshop on Advanced Patterning Solutions (IWAPS), Nov. 2020, doi:10.1109/iwaps51164.2020.9286790.

Z. Liu, E. Hajaj, I. Naot, R. Yohanan, and Y. Grauer, “OPO reduction by novel target design,” Metrology, Inspection, and Process Control for Microlithography XXXIV, Mar. 2020, doi: 10.1117/12.2552000.

H.-C. Hsieh, M.-R. Wu, and X.-T. Huang, “Designing Highly Precise Overlay Targets for Asymmetric Sidewall Structures Using Quasi-Periodic Line Widths and Finite-Difference Time-Domain Simulation,” Sensors, vol. 23, no. 9, p. 4482, May 2023, doi:10.3390/s23094482.

M. Adel et al., “Optimized Overlay Metrology Marks: Theory and Experiment,” IEEE Transactions on Semiconductor Manufacturing, vol. 17, no. 2, pp. 166–179, May 2004, doi: 10.1109/tsm.2004.826955.

J. R. Jordan III, “Alignment mark detection using signed-contrast gradient edge maps,” Machine Vision Applications in Character Recognition and Industrial Inspection, Aug. 1992, doi:10.1117/12.130304.

M. Adel et al., “Characterization of overlay mark fidelity,” Metrology, Inspection, and Process Control for Microlithography XVII, May 2003, doi: 10.1117/12.483430.

M. Adel et al., “Performance study of new segmented overlay marks for advanced wafer processing,” Metrology, Inspection, and Process Control for Microlithography XVII, May 2003, doi:10.1117/12.483477.

J. L. Seligson, M. E. Adel, P. Izikson, V. Levinski, and D. Yaffe, “Target noise in overlay metrology,” SPIE Proceedings, May 2004, doi:10.1117/12.534515.

E. Lantz, “Subpixel signal centering and shift measurement using a recursive spectral phase algorithm,” Signal Processing, vol. 17, no. 4, pp. 365–372, Aug. 1989, doi: 10.1016/0165-1684(89)90121-7.

S. Pan et al., “Sub-pixel position estimation algorithm based on Gaussian fitting and sampling theorem interpolation for wafer alignment,” Applied Optics, vol. 60, no. 31, p. 9607, Oct. 2021, doi:10.1364/ao.437440.

L. H. Chul and W. H. Sung, "Motion Vector Based Overlay Metrology Algorithm for Wafer Alignment," KIPS Transactions on Software and Data Engineering, vol. 12, no. 3, pp. 141-148, 2023. doi:10.3745/KTSDE.2023.12.3.141.

K. Sentoku, T. Matsumoto, and H. Ina, “Novel strategy for wafer-induced shift (WIS),” Optical Microlithography XV, Jul. 2002, doi:10.1117/12.474477.

A. Sugaya, Y. Kanaya, S. Nakajima, T. Nagayama, and N. Shiraishi, “Optical Alignment Optimizations for Reducing Wafer-Induced Shift,” Japanese Journal of Applied Physics, vol. 43, no. 11A, pp. 7419–7426, Nov. 2004, doi: 10.1143/jjap.43.7419.

A. J. den Boef, “Optical wafer metrology sensors for process-robust CD and overlay control in semiconductor device manufacturing,” Surface Topography: Metrology and Properties, vol. 4, no. 2, p. 023001, Feb. 2016, doi: 10.1088/2051-672x/4/2/023001.

C. W. Wong et al., “Self-referenced and self-calibrated MoiréOVL target design and applications,” Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV, Feb. 2021, doi:10.1117/12.2583733.

D. Kandel et al., “Overlay accuracy fundamentals,” Metrology, Inspection, and Process Control for Microlithography XXVI, Mar. 2012, doi: 10.1117/12.916369.

H. Kim et al., “Effective tool induced shift (eTIS) for determining the total measurement uncertainty (TMU) in overlay metrology,” Metrology, Inspection, and Process Control XXXVII, Apr. 2023, doi:10.1117/12.2670420.

Creative Commons License

This work is licensed under a Creative Commons Attribution 4.0 International License.

Authors who publish with this journal agree to the following terms:

    1. Authors retain copyright and grant the journal right of first publication with the work simultaneously licensed under a Creative Commons Attribution License that allows others to share the work with an acknowledgement of the work's authorship and initial publication in this journal.
    2. Authors are able to enter into separate, additional contractual arrangements for the non-exclusive distribution of the journal's published version of the work (e.g., post it to an institutional repository or publish it in a book), with an acknowledgement of its initial publication in this journal.
    3. Authors are permitted and encouraged to post their work online (e.g., in institutional repositories or on their website) prior to and during the submission process, as it can lead to productive exchanges, as well as earlier and greater citation of published work (See The Effect of Open Access).